МИНОБРНАУКИ РОССИИ
федеральное государственное бюджетное образовательное учреждение высшего образования
«Алтайский государственный университет»

Схемотехника ЭВМ

рабочая программа дисциплины
Закреплена за кафедройКафедра вычислительной техники и электроники
Направление подготовки09.03.01. Информатика и вычислительная техника
ПрофильИнформатика и вычислительная техника
Форма обученияОчная
Общая трудоемкость6 ЗЕТ
Учебный план09_03_01_ИиВТ-1-2019
Часов по учебному плану 216
в том числе:
аудиторные занятия 108
самостоятельная работа 72
контроль 36
Виды контроля по семестрам
экзамены: 6

Распределение часов по семестрам

Курс (семестр) 3 (6) Итого
Недель 15
Вид занятий УПРПДУПРПД
Лекции 36 36 36 36
Лабораторные 54 54 54 54
Практические 18 18 18 18
Сам. работа 72 72 72 72
Часы на контроль 36 36 36 36
Итого 216 216 216 216

Программу составил(и):
старший преподаватель, Белозерских В.В.

Рецензент(ы):
к.ф.-м.н., доцент, Рудер Д.Д.

Рабочая программа дисциплины
Схемотехника ЭВМ

разработана в соответствии с ФГОС:
Федеральный государственный образовательный стандарт высшего образования по направлению подготовки 09.03.01 Информатика и вычислительная техника (уровень бакалавриата) (приказ Минобрнауки России от 19.09.2017г. №929)

составлена на основании учебного плана:
09.03.01 Информатика и вычислительная техника
утвержденного учёным советом вуза от 25.06.2019 протокол № 9.

Рабочая программа одобрена на заседании кафедры
Кафедра вычислительной техники и электроники

Протокол от 26.06.2019 г. № 69/18-19
Срок действия программы: 2019-2020 уч. г.

Заведующий кафедрой
к.ф.-м.н., Пашнев Владимир Валентинович, доц., зав. кафедрой "Вычислительной техники и электроники"


Визирование РПД для исполнения в очередном учебном году

Рабочая программа пересмотрена, обсуждена и одобрена для
исполнения в 2019-2020 учебном году на заседании кафедры

Кафедра вычислительной техники и электроники

Протокол от 26.06.2019 г. № 69/18-19
Заведующий кафедрой к.ф.-м.н., Пашнев Владимир Валентинович, доц., зав. кафедрой "Вычислительной техники и электроники"


1. Цели освоения дисциплины

1.1.Цель изучения дисциплины – формирование у будущих специалистов теоретических знаний и практических навыков по использованию современных достижений цифровой электронной техники для решения широкого спектра задач в различных областях, а именно:
• ознакомить студентов с основами теории построения цифровых устройств и систем;
• привить навыки работы с различными средствами вычислительной техники;
• изложить основные принципы организации БИС/СБИС программируемой структуры, микропроцессорных комплектов и памяти.
Основными задачами изучения дисциплины «Схемотехника ЭВМ» являются:
• изучение схемотехнического построения, системы параметров, функционального состава и особенностей применения современных интегральных схем;
• изучение принципов построения функциональных узлов вычислительных машин;
• освоение методов анализа и синтеза типовых функциональных узлов;
• освоение современных методов и средств схемотехнического проектирования средств вычислительной техники.

2. Место дисциплины в структуре ООП

Цикл (раздел) ООП: Б1.В.02

3. Компетенции обучающегося, формируемые в результате освоения дисциплины

ПК-8 Способен разрабатывать стратегии тестирования и управления тестированием в области ИТ;
В результате освоения дисциплины обучающийся должен
3.1.Знать:
3.1.1.Тенденции развития цифровой техники;
Функциональные узлы вычислительных машин;
Современные методы проектирования средств вычислительной техники;
Терминологию, систему параметров и характеристики современных ИС;
Классификацию и назначение элементов и узлов вычислительных машин;
Физические основы, принципы функционирования элементов вычислительной техники комбинационного и последовательностного типа;
Принцип действия, методы синтеза и анализа функциональных узлов вычислительных машин;
Актуальные системы моделирования вычислительной техники;
Тенденции развития схемотехники вычислительных машин.
3.2.Уметь:
3.2.1.Определять области применения и актуальность средств ВТ;
Анализировать и синтезировать проектные решения устройств и узлов ВТ;
Проектировать модули, системы и комплексы вычислительных машин с требуемыми параметрами;
Выполнения анализа параметров устройств вычислительной техники;
Моделировать схемотехнические решения вычислительной техники;
Обосновывать выбор элементной базы согласно техническому заданию;
Работать с технической литературой, справочниками, тех. документацией, ГОСТами.
3.3.Иметь навыки и (или) опыт деятельности (владеть):
3.3.1.Проектирования узлов и устройств вычислительных машин с требуемыми параметрами;
Выполнения анализа параметров узлов и устройств вычислительных машин;
Обосновывания выбора элементной базы согласно техническому заданию;
Работы с технической литературой, справочниками, тех. документацией, ГОСТами.

4. Структура и содержание дисциплины

Код занятия Наименование разделов и тем Вид занятия Семестр Часов Компетенции Литература
Раздел 1. Введение. Классификация, краткая характеристика возможностей и применений СхЭВМ. Основные понятия и термины.
1.1. Место цифровых устройств в современной технике. История развития цифровых устройств. Область применения. Понятия степени интеграции. Развитие БИС/СБИС. Основные направления развития и применения. Современные схемотехнологии в производстве ИС. Отличия схемотехнологий КМОП, ТТЛ и ЭСЛ. Лекции 6 2 ПК-8 Л1.1, Л2.2
1.2. Новейшие схемотехнологии производства ИС с использованием новых материалов. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 2. Простейшие модели и система параметров логических элементов.
2.1. Простейшие модели логических элементов. Статические параметры ЛЭ. Быстродействие ЛЭ. Мощность потребления ЛЭ. Лекции 6 2 ПК-8 Л1.1, Л2.2
2.2. Система параметров КМОП (высоковольтных и низковольтных). Система параметров ТТЛ(Ш). Система параметров ЭСЛ. Сам. работа 6 2 ПК-8 Л1.1, Л2.2
Раздел 3. Типы выходных каскадов цифровых элементов.
3.1. Логический выход. Элементы с тремя состояниями выхода. Выход с открытым коллектором(ОК) и эммитером(ОЭ). Нагрузочная характеристика элементов с ОК. Лекции 6 2 ПК-8 Л1.1, Л2.2
3.2. Оценка нагрузочной характеристики элементов с ОК. Формирование парафазных выходных сигналов в элементах ЭСЛ. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 4. Паразитные связи цифровых элементов по цепям питания.
4.1. Паразитные связи цифровых элементов по цепям питания. Фильтрация питающих напряжений в схемах ЦУ. Зависимость помех по цепям питания от качества электрических соединений. Лекции 6 4 ПК-8 Л1.1, Л2.2
4.2. Зависимость помех по цепям питания от качества применяемых блокировочных конденсаторов. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 5. Передача сигналов в цифровых узлах и устройствах.
5.1. Перекрестные помехи. Искажение сигналов в несогласованных линиях. Линии передачи сигналов. Параллельное согласование волновых сопротивлений. Последовательное согласование волновых сопротивлений. Лекции 6 4 ПК-8 Л1.1, Л2.2
5.2. Способы параллельного и последовательного согласования волновых сопротивлений. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 6. Вспомогательные элементы цифровых узлов и устройств.
6.1. Элементы задержки. Генераторы импульсов. Формирования импульсов по длительности. Элементы индикации. Лекции 6 2 ПК-8 Л1.1, Л2.2
6.2. Схемы формирования импульсов по длительности. Схемы для управления элементами индикации. Сам. работа 6 2 ПК-8 Л1.1, Л2.2
Раздел 7. Типовые ситуации применения цифровых ИС в узлах вычислительной техники.
7.1. Режим неиспользуемых входов. Режим неиспользуемых элементов Наращивание числа входов. Снижение нагрузок на входах ЛЭ. Согласование входных и выходных сигналов разных схемотехнологий. Лекции 6 2 ПК-8 Л1.1, Л2.2
7.2. Согласование входных и выходных сигналов разных схемотехнологий. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 8. Введение в проблематику проектирования ЦУ комбинационного типа.Риски.
8.1. Введение в проблематику проектирования ЦУ комбинационного типа. Риски. Способы минимизации логических функций. Критерии качества проекта цифровых устройств. Лекции 6 2 ПК-8 Л1.1, Л2.2
8.2. Способы минимизации логических функций. Критерии качества проекта цифровых устройств. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 9. Двоичные дешифраторы, приоритетные и двоичные шифраторы.
9.1. Двоичные дешифраторы. Приоритетные и двоичные шифраторы. Указатели старшей единицы. Наращивание разрядности приоритетного шифратора. Лекции 6 2 ПК-8 Л1.1, Л2.2
9.2. Способы наращивание разрядности приоритетного шифратора. Сам. работа 6 2 ПК-8 Л1.1, Л2.2
Раздел 10. Мультиплексоры и демультиплексоры. УЛМ.
10.1. Мультиплексоры и демультиплексоры. УЛМ. Способы настройки УЛМ. Наращивание размерности мультиплексора. Пирамидальные структуры УЛМ. Теорема Шеннона. Лекции 6 2 ПК-8 Л1.1, Л2.2
10.2. Теорема Шеннона и ее применение для пирамидальных структур. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 11. Компараторы, схемы контроля.
11.1. Компараторы. Контроль по модулю 2. Схемы свертки. Мажоритарный элемент. Контроль с использованием кода Хемминга. Лекции 6 2 ПК-8 Л1.1, Л2.2
11.2. Схема кодера и декодера для кода Хемминга. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 12. Сумматоры, АЛУ, ускоренный перенос, умножители.
12.1. Одноразрядный сумматор. Параллельный сумматор с параллельным переносом. Параллельный сумматор с параллельным переносом. Сумматоры групповой структуры. Последовательный сумматор. Накапливающий сумматор. АЛУ, блоки ускоренного переноса. Лекции 6 2 ПК-8 Л1.1, Л2.2
12.2. Матричные умножители. Схемы ускоренного умножения. Сам. работа 6 2 ПК-8 Л1.1, Л2.2
Раздел 13. Триггеры. Схемотехника. Применение.
13.1. Триггеры. Схемотехника. Применение. Аномальные состояния триггеров. Применение триггеров в схемах ввода и синхронизации логических сигналов. Лекции 6 2 ПК-8 Л1.1, Л2.2
13.2. Схемы применения триггеров в схемах ввода и синхронизации логических сигналов. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 14. Синхронизация цифровых устройств.
14.1. Синхронизация цифровых устройств. Параметры тактовых импульсов. Структура устройств синхронизации. Однофазная синхронизация. Двухфазная синхронизация. Размножение тактовых импульсов. Коррекция расфазирования импульсов. Лекции 6 2 ПК-8 Л1.1, Л2.2
14.2. Способы коррекции расфазирования импульсов. Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 15. Регистры и счетчики.
15.1. Регистры и регистровые файлы. Счетчики. Классификация. Двоичные счетчики. Счетчики с групповой структурой. Двоично-кодированные счетчики с произвольным модулем. Счетчики с недвоичным кодированием (в коде Грея, в коде 1 из N). Лекции 6 4 ПК-8 Л1.1, Л2.2
15.2. Счетчики с недвоичным кодированием (в коде Грея, в коде 1 из N) Сам. работа 6 1 ПК-8 Л1.1, Л2.2
Раздел 16. Проектирование устройств на программируемых логических интегральных схемах
16.1. Архитектура и схемотехника ИС с программируемой структурой. История развития интегральных схем с программируемой структурой. Классификация ПЛИС. Базовые матричные кристаллы. Общие свойства микросхем программируемой логики. CPLD- сложные программируемые логические устройства. FPGA – программируемые пользователем вентильные матрицы. Практические 6 4 ПК-8 Л1.1, Л2.2, Л2.1
16.2. Архитектура и схемотехника ИС смешанных сигналов с программируемой структурой.История развития интегральных схем смешанных сигналов. Сам. работа 6 1 ПК-8 Л1.1, Л2.2, Л2.1
16.3. Языки описания дискретных устройств. Общая характеристика языка AHDL. Структура программ. Практические 6 2 ПК-8 Л1.1, Л2.2, Л2.1
16.4. Языки описания дискретных устройств. VHDL и Verilog. Сам. работа 6 4 ПК-8 Л1.1, Л2.2, Л2.1
16.5. Типы данных AHDL. Выражения языка AHDL. Оператор присваивания. Практические 6 2 ПК-8 Л1.1, Л2.2, Л2.1
16.6. Типы данных VHDL и Verilog. Выражения языка VHDL и Verilog. Сам. работа 6 4 ПК-8 Л1.1, Л2.2, Л2.1
16.7. Оператор выбора и оператор условия. Таблицы. Оператор повторения. Практические 6 2 ПК-8 Л1.1, Л2.2, Л2.1
16.8. Оператор выбора и оператор условия, таблицы, оператор повторения VHDL и Verilog. Сам. работа 6 4 ПК-8 Л1.1, Л2.1
16.9. Описание регистровых схем. Практические 6 2 ПК-8 Л1.1, Л2.1
16.10. Описание регистровых схем VHDL и Verilog. Сам. работа 6 4 ПК-8 Л1.1, Л2.1
16.11. Монтажная логика. Буферные примитивы. Практические 6 2 ПК-8 Л1.1, Л2.1
16.12. Монтажная логика. Буферные примитивы.VHDL и Verilog. Сам. работа 6 12 ПК-8 Л1.1, Л2.1
16.13. Цифровые автоматы. Практические 6 2 ПК-8 Л1.1, Л2.1
16.14. Цифровые автоматы VHDL и Verilog. Сам. работа 6 12 ПК-8 Л1.1, Л2.1
16.15. Иерархическое проектирование в AHDL. Практические 6 2 ПК-8 Л1.1, Л2.1
16.16. Иерархическое проектирование в VHDL и Verilog. Сам. работа 6 12 ПК-8 Л1.1, Л2.1
Раздел 17. Проектирование устройств на программируемых логических интегральных схемах
17.1. Лабораторная работа №1: «Проектирование простых устройств на базе ПЛИС ALTERA» Лабораторные 6 12 ПК-8 Л1.1, Л2.1
17.2. Лабораторная работа №2: «Проектирование счетчиков на базе ПЛИС ALTERA» Лабораторные 6 12 ПК-8 Л1.1, Л2.1
17.3. Лабораторная работа №3: «Проектирование цифровых автоматов на базе ПЛИС ALTERA» Лабораторные 6 12 ПК-8 Л1.1, Л2.1
17.4. Лабораторная работа №4: «Проектирование цифровых узлов с шинной организацией на базе ПЛИС ALTERA» Лабораторные 6 18 ПК-8 Л1.1, Л2.1
Раздел 18. Аттестация
18.1. Экзамен 6 36 ПК-8 Л1.1, Л2.2, Л2.1

5. Фонд оценочных средств

5.1. Контрольные вопросы и задания для проведения текущего контроля и промежуточной аттестации по итогам освоения дисциплины
Вопросы к коллоквиуму №1
1. История появления и развития интегральных схем. Степень интеграции.
2. Схемотехнологии КМОП, ТТЛШ, ЭСЛ. Логические уровни. Сравнение быстродействия и энергопотребления.
3. Простейшие модели логических элементов.
4. Статические параметры ЛЭ. Сравнение схемотехнологий ЭСЛ, КМОП и ТТЛШ.
5. Быстородействие ЛЭ. Сравнение схемотехнологий ЭСЛ, КМОП и ТТЛШ.
6. Мощности потребления ЛЭ. Сравнение схемотехнологий ЭСЛ, КМОП и ТТЛШ.
7. Логический выход. ТТЛШ и КМОП. Схема.
8. Выход с тремя состояниями. ТТЛШ и КМОП. Схема.
9. Выход с открытым коллектором(стоком). Монтажная логика. ТТЛШ и КМОП. Схема.
10. Расчет верхнего и нижнего значений сопротивления для схем с ОК или ОС. Схема.
11. Выход с программированием ТС-ОС. Пример схемы. Описание работы.
12. Выход с открытым эмиттером. Эмиттерный дот. Схема и принцип работы.
13. Режимы временно разомкнутых выводов. Pull-up и pull-down резисторы. Схема и принцип работы.
14. Выводы микросхемы с запоминанием последнего значения сигнала. Схема и принцип работы.
15. Режим неиспользуемых входов стандартных ИС. Схемы.
16. Согласование уровней сигналов при сопряжении разнотипных элементов. Схемы и принцип работы.
17. Режим неиспользуемых элементов. Наращивание числа входов. Снижение нагрузок на выходах ЛЭ.
18. Перспективы развития ИС с малой и средней степенью интеграции.
Вопросы к коллоквиуму №2
1. Паразитные связи цифровых элементов по цепям питания. Фильтрация питающих напряжений. Схема.
2. Помехи в сигнальных линиях. Перекрестные помехи. Схема. Выражения.
3. Искажения в несогласованных линиях. Схема. Выражения.
4. Параллельное согласование волновых сопротивлений. Схемы. Выражения.
5. Последовательное согласование волновых сопротивлений. Схема.
6. Схемы с одновременным согласованием волновых сопротивлений в начале и конце линии. Схемы.
7. Линии передачи сигналов. Схемы. Выражения. Дифференциальный способ передачи. Использование триггера Шмитта. Простейшие линии передачи. Примеры.
8. Линии связи с гальванической развязкой. Схема.
9. Линии передачи типа "токовая петля". Схема.
10. Элементы задержки. Схема. Временные диаграммы. Выражения.
11. Формирование импульсов по длительности. Схемы. Временные диаграммы. Логические выражения.
12. Генераторы импульсов. . Схема. Временные диаграммы. Выражения.
13. Элементы индикации на светодиодных индикаторах. 7-сегментный индикатор. Схемы с общим анодом и катодом. Расчет резистора. Схемы.
14. Элементы индикации на жидкокристаллических индикаторах. Схема управления. Матрица индикатора. Принцип работы плоских дисплеев. Мультиплексирование и построчный или чрезстрочный способ отображения.
Вопросы к коллоквиуму №3
1. Проблематика проектирования ЦУ. Статический и динамический риск. Борьба. Схемы.
2. Проблематика проектирования ЦУ. Этапы проектирования произвольной логики. LUT. Схемы. Выражения.
3. Проблематика проектирования ЦУ. Этапы проектирования произвольной логики. SLC. Схемы. Выражения.
4. Проблематика проектирования ЦУ. Этапы проектирования произвольной логики. PAL и PLA. Схемы. Выражения.
5. Проблематика проектирования ЦУ. Этапы проектирования произвольной логики. УЛМ на мультиплексорах. Схемы. Выражения.
6. Двоичный дешифратор. Расширение входов. Схемы. Выражения.
7. Приоритетные и двоичные шифраторы. Указатели старшей единицы. Схемы. Выражения.
8. Мультиплексор и демультиплексор. Схемы. Выражения.
9. УЛМ на мультиплексоре. Первый способ настройки. Схемы. Выражения.
10. УЛМ на мультиплексоре. Второй способ настройки. Получение сигналов настройки (Фиксация наборов аргументов, разложение по Шеннону, таблица истинности).Схемы. Выражения.
11. Компараторы. Схема. Выражения.
12. Схемы контроля. Мажоритарный элемент. Схемы. Выражения.
13. Схемы контроля. Контроль по модулю 2. Схемы. Выражения.
14. Схемы контроля. Схемы свертки. Передача с контролем по модулю 2.Схемы. Выражения.
15. Схемы контроля. Контроль с помощью кода Хемминга. Основные понятия теории кодирования. Пример.
16. Схемы контроля. Кодер и декодер кода Хемминга. Схемы. Описание.
17. Сумматоры. Одноразрядный сумматор. Схемы. Выражения.
18. Сумматоры. Последовательный сумматор. Схемы. Выражения.
19. Сумматоры. Параллельный сумматор с последовательным переносом. Схемы. Выражения.
20. Сумматоры. Параллельный сумматор с параллельным переносом. Схемы. Выражения.
21. Сумматоры. Сумматор с передачей сигналов переноса по цепочке замкнутых ключей. Схемы. Выражения.
22. Сумматоры. Сумматоры групповой структуры. Схемы. Выражения.
23. Сумматоры. Сумматор с ускоренным переносом. Схемы. Выражения.
24. АЛУ и блоки ускоренного переноса. Схемы. Таблица истинности. Выражения.
25. Матричные умножители. Схемы. Выражения.
26. Множительно-суммирующие блоки. Блок-схемы. Выражения.
27. Схемы ускоренного умножения. Блок-схемы. Выражения.
28. Быстрые сдвигатели. Сдвигатель правляемый кодом 1 из N и двоичным кодом. Блок-схемы.

Контрольные вопросы к экзамену по курсу «Схемотехника ЭВМ»
1. Простейшие модели логических элементов.
2. Статические параметры логических элементов.
3. Быстродействие логических элементов. Мощности потребления логических элементов.
4. Типы выходных каскадов цифровых элементов. Логический выход.
5. Типы выходных каскадов цифровых элементов. Элементы с тремя состояниями выхода.
6. Типы выходных каскадов цифровых элементов. Выход с открытым коллектором.
7. Типы выходных каскадов цифровых элементов. Выход с открытым эмиттером.
8. Паразитные связи цифровых элементов по цепям питания. Фильтрация питающих напряжений в схемах ЦУ.
9. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Сигнальные линии повышенного качества. Перекрестные помехи.
10. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Искажения сигналов в несогласованных линиях.
11. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Параллельное согласование волновых сопротивлений.
12. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Последовательное согласование волновых сопротивлений.
13. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Линии передачи сигналов.
14. Вспомогательные элементы цифровых узлов и устройств. Элементы задержки.
15. Вспомогательные элементы цифровых узлов и устройств. Формирование импульсов по длительности.
16. Вспомогательные элементы цифровых узлов и устройств. Генераторы импульсов.
17. Вспомогательные элементы цифровых узлов и устройств. Элементы индикации.
18. Типовые ситуации при построении узлов и устройств на стандартных ИС. Режимы неиспользуемых входов. Режимы неиспользуемых элементов.
19. Типовые ситуации при построении узлов и устройств на стандартных ИС. Наращивание числа входов. Снижение нагрузок на выходах логических элементов.
20. Функциональные узлы комбинационного типа. Понятие динамического и статического рисков. Переходные процессы. Синхронные комбинационные схемы.
21. Функциональные узлы комбинационного типа. Этапы проектирования произвольной логики комбинационного типа. Логические блоки табличного типа.(LUTs).
22. Функциональные узлы комбинационного типа. Этапы проектирования произвольной логики комбинационного типа. Логические блоки в виде последовательности матриц И и ИЛИ. (ПЛМ и ПМЛ).
23. Функциональные узлы комбинационного типа. Этапы проектирования произвольной логики комбинационного типа. Универсальные логические блоки на основе мультиплексоров.
24. Функциональные узлы комбинационного типа. Этапы проектирования произвольной логики комбинационного типа. Логические блоки, собираемые из элементов некоторого базиса.(SLC).
25. Функциональные узлы комбинационного типа. Проблематика проектирования ЦУ. Критерии качества.
26. Функциональные узлы комбинационного типа. Двоичные дешифраторы. Схемотехническая реализация дешифраторов.
27. Функциональные узлы комбинационного типа. Приоритетные и двоичные шифраторы. Указатели старшей единицы.
28. Функциональные узлы комбинационного типа. Мультиплексоры и демультиплексоры.
29. Универсальные логические модули на основе мультиплексоров. Первый способ настройки УЛМ.Второй способ настройки УЛМ.
30. Универсальные логические модули на основе мультиплексоров. Пирамидальные структуры УЛМ. Способы поиска сигналов настройки УЛМ. (разложение по Шеннону, из таблицы истинности, фиксацией наборов аргументов).
31. Функциональные узлы комбинационного типа. Компараторы.
32. Функциональные узлы комбинационного типа. Схемы контроля. Мажоритарные элементы.
33. Функциональные узлы комбинационного типа. Схемы контроля. Контроль по модулю 2.
34. Функциональные узлы комбинационного типа. Схемы контроля. Схемы свертки.
35. Функциональные узлы комбинационного типа. Схемы контроля. Контроль с использованием кодов Хемминга.
36. Функциональные узлы комбинационного типа. Схемы контроля. Схема кодера и декодера для кода Хемминга.
5.2. Темы письменных работ для проведения текущего контроля (эссе, рефераты, курсовые работы и др.)
Рефераты:
Сравнительный анализ цифровых схемотехнологий отечественного и зарубежного производства.
Расчет схем с ОК для работы на различную нагрузку.
Построение цифровых узлов на логических микросхемах малой и средней степени интеграции.
Построение цифровых устройств с использованием ПЛИС.
Основные характеристики и особенности применения Verilog, AHDL, VHDL.
Обзор средств разработки, программирования и сквозного проектирования цифровых и смешанных устройств на базе ПЛИС и ПАИС.
5.3. Фонд оценочных средств для проведения промежуточной аттестации
В приложении.

6. Учебно-методическое и информационное обеспечение дисциплины

6.1. Рекомендуемая литература
6.1.1. Основная литература
Авторы Заглавие Издательство, год Эл. адрес
Л1.1 Ю.В. Новиков Введение в цифровую схемотехнику: учебное пособие ИНТУИТ; Бином, 2007 biblioclub.ru
6.1.2. Дополнительная литература
Авторы Заглавие Издательство, год Эл. адрес
Л2.1 Максфилд К. Проектирование на ПЛИС. Курс молодого бойца: учебное пособие ДМК-пресс, 2015 www.studentlibrary.ru
Л2.2 Миленина Светлана Александровна Электроника и схемотехника: Учебник и практикум: Юрайт, 2017 www.biblio-online.ru
6.2. Перечень ресурсов информационно-телекоммуникационной сети "Интернет"
Название Эл. адрес
Э1 1. www.gpntb.ru/ Государственная публичная научно-техническая библиотека.
Э2 2. www.nlr.ru/ Российская национальная библиотека.
Э3 3. www.nns.ru/ Национальная электронная библиотека.
Э4 4. www.rsl.ru/ Российская государственная библиотека.
Э5 5. www.microinform.ru/ Учебный центр компьютерных технологий «Микроинформ».
Э6 6. www.tests.specialist.ru/ Центр компьютерного обучения МГТУ им. Н.Э.Баумана.
Э7 7. www.intuit.ru/ Образовательный сайт
Э8 8. www.window.edu.ru/ Библиотека учебной и методической литературы
Э9 9. www.ihtika.lib.ru/ Библиотека учебной и методической литературы
Э10 10. news.rea.ru/portal/Departments.nsf/(Index)/Lib Библиотека Российской экономической академии им. Плеханова.
Э11 11. www.e.lanbook.com Электронная библиотечная система издательства "Лань"
Э12 Курс в Мудле Схемотехникаи ЭВМ portal.edu.asu.ru
6.3. Перечень программного обеспечения
 Open Office – Условия использования по ссылке http://www.openoffice.org/license.html
 7-Zip – Условия использования по ссылке http://www.7-zip.org/license.txt
 Acrobat Reader DC – Условия использования по ссылке http://wwwimages.adobe.com/content/dam/Adobe/en/legal/servicetou/Acrobat_com_Additional_TOU-en_US-20140618_1200.pdf
 Операционная система Microsoft® Win Starter 7 Russian Academic OPEN License No Level Legalization Get Genuine (версия 7).

Microsoft Windows
6.4. Перечень информационных справочных систем
Не используются

7. Материально-техническое обеспечение дисциплины

Аудитория Назначение Оборудование
Помещение для самостоятельной работы помещение для самостоятельной работы обучающихся Компьютеры, ноутбуки с подключением к информационно-телекоммуникационной сети «Интернет», доступом в электронную информационно-образовательную среду АлтГУ
Учебная аудитория для проведения занятий лекционного типа, занятий семинарского типа (лабораторных и(или) практических), групповых и индивидуальных консультаций, текущего контроля и промежуточной аттестации, курсового проектирования (выполнения курсовых работ), проведения практик Стандартное оборудование (учебная мебель для обучающихся, рабочее место преподавателя, доска)
209К лаборатория схемотехники и микропроцессорных систем - учебная аудитория для проведения занятий семинарского типа (лабораторных и(или) практических); проведения групповых и индивидуальных консультаций, текущего контроля и промежуточной аттестации Учебная мебель на 15 посадочных мест; рабочее место преподавателя; доски меловые 1шт. компьютеры: марка Aquarius модель Cel-2533 - 2 единицы; внутрисхемный программатор-отладчик PICkit 3 - 5шт.; компьютер Парус 945 - 13шт.; монитор 15"LG Flatron; монитор 17"Samsung 793 MB; набор PICkit 3; паяльная станция -5шт.; плата оценочная DEO-Nano - 8шт.;системный блок Celeron 2400$/ методические указания по выполнению лабораторных работ: Разработка микропроцессорных систем на базе микроконтроллера PIC16F84; Микроконтроллеры семейства MCS; Методы кодирования и сжатия информации
001вК склад экспериментальной мастерской - помещение для хранения и профилактического обслуживания учебного оборудования Акустический прибор 01021; виброизмеритель 00032; вольтметр Q1202 Э-500; вольтметр универсальный В7-34А; камера ВФУ -1; компьютер Турбо 86М; масспектрометр МРС -1; осциллограф ЕО -213- 2 ед.; осциллограф С1-91; осциллограф С7-19; программатор С-815; самописец 02060 – 2 ед.; стабилизатор 3218; терц-октавный фильтр 01023; шкаф вытяжной; шумомер 00026; анализатор АС-817; блок 23 Г-51; блок питания "Статрон" – 2 ед.; блок питания Ф 5075; вакуумный агрегат; весы; вольтметр VM -70; вольтметр В7-15; вольтметр В7-16; вольтметр ВУ-15; генератор Г-5-6А; генератор Г4-76А; генератор Г4-79; генератор Г5-48; датчик колебаний КВ -11/01; датчик колебаний КР -45/01; делитель Ф5093; измеритель ИМП -2; измеритель параметров Л2-12; интерферометр ИТ 51-30; источник "Агат" – 3 ед.; источник питания; источник питания 3222; источник питания ЭСВ -4; лабораторная установка для настройки газовых лазеров; лазер ЛГИ -21; М-кальк-р МК-44; М-калькул-р "Электроника"; магазин сопротивления Р4075; магазин сопротивления Р4077; микроскоп МБС -9; модулятор МДЕ; монохроматор СДМС -97; мост переменного тока Р5066; набор цветных стекол; насос вакумный; насос вакуумный ВН-01; осциллограф С1-31; осциллограф С1-67; осциллограф С1-70; осциллограф С1-81; осциллоскоп ЕО -174В – 2 ед.; пентакта L-100; пирометр "Промень"; пистонфон 05001; преобразователь В9-1; прибор УЗДН -2Т; скамья оптическая СО 1м; спектограф ДФС -452; спектограф ИСП -51; стабилизатор 1202; стабилизатор 3217 – 4 ед.; стабилизатор 3218; стабилизатор 3222 – 3 ед.; станок токарный ТВ-4; усилитель мощности ЛВ -103 – 4 ед.; усилитель У5-9; центрифуга ВЛ-15; частотомер Ч3-54А; шкаф металлический; эл.двигатель; электродинамический калибратор 11032

8. Методические указания для обучающихся по освоению дисциплины

В приложении.