МИНОБРНАУКИ РОССИИ
федеральное государственное бюджетное образовательное учреждение высшего образования
«Алтайский государственный университет»

Цифровая схемотехника

рабочая программа дисциплины
Закреплена за кафедройКафедра вычислительной техники и электроники
Направление подготовки09.03.01. Информатика и вычислительная техника
ПрофильПрограммирование средств вычислительной техники и автоматизированных систем; Программно-техническое обеспечение инфокоммуникационных технологий
Форма обученияОчная
Общая трудоемкость12 ЗЕТ
Учебный план09_03_01_Информатика и вычислительная техника_Профили-2022
Часов по учебному плану 432
в том числе:
аудиторные занятия 172
самостоятельная работа 206
контроль 54
Виды контроля по семестрам
экзамены: 5, 6

Распределение часов по семестрам

Курс (семестр) 3 (5) 3 (6) Итого
Недель 16 18
Вид занятий УПРПДУПРПДУПРПД
Лекции 24 24 28 28 52 52
Лабораторные 36 36 48 48 84 84
Практические 18 18 18 18 36 36
Сам. работа 75 75 131 131 206 206
Часы на контроль 27 27 27 27 54 54
Итого 180 180 252 252 432 432

Программу составил(и):
к.ф.-м.н., доцент, Матющенко Ю.Я.;Ст. тр.-преп., Белозерских В.В.

Рецензент(ы):
к.ф.-м.н., доцент, Мансуров А.В.

Рабочая программа дисциплины
Цифровая схемотехника

разработана в соответствии с ФГОС:
Федеральный государственный образовательный стандарт высшего образования - бакалавриат по направлению подготовки 09.03.01 Информатика и вычислительная техника (приказ Минобрнауки России от 19.09.2017 г. № 929)

составлена на основании учебного плана:
09.03.01 Информатика и вычислительная техника
утвержденного учёным советом вуза от 27.04.2021 протокол № 6.

Рабочая программа одобрена на заседании кафедры
Кафедра вычислительной техники и электроники

Протокол от 14.06.2022 г. № 100/21-22
Срок действия программы: 20222024 уч. г.

Заведующий кафедрой
к.ф.-м.н, доц., Пашнев В.В.


Визирование РПД для исполнения в очередном учебном году

Рабочая программа пересмотрена, обсуждена и одобрена для
исполнения в 2023-2024 учебном году на заседании кафедры

Кафедра вычислительной техники и электроники

Протокол от 14.06.2022 г. № 100/21-22
Заведующий кафедрой к.ф.-м.н, доц., Пашнев В.В.


1. Цели освоения дисциплины

1.1.Цель изучения дисциплины – формирование у будущих специалистов теоретических знаний и практических навыков по использованию современных достижений цифровой электронной техники для решения широкого спектра задач в различных областях, а именно:
• ознакомить студентов с основами теории построения цифровых устройств и систем;
• привить навыки работы с различными средствами вычислительной техники;
• изложить основные принципы организации БИС/СБИС программируемой структуры, микропроцессорных комплектов и памяти.
Основными задачами изучения дисциплины «Цифровая схемотехника являются:
• изучение схемотехнического построения, системы параметров, функционального состава и особенностей применения современных интегральных схем;
• изучение принципов построения функциональных узлов вычислительных машин;
• освоение методов анализа и синтеза типовых функциональных узлов;
• освоение современных методов и средств схемотехнического проектирования средств вычислительной техники.

2. Место дисциплины в структуре ООП

Цикл (раздел) ООП: Б1.В.ДВ.01.02

3. Компетенции обучающегося, формируемые в результате освоения дисциплины

ПК-2Способен осуществлять концептуальное, функциональное и логическое проектирование систем среднего и крупного масштаба и сложности.
ПК-2.1 Знать: цель создания системы, требования к системе, запросы на изменение требований к системе
ПК-2.2 Уметь: разрабатывать концепцию системы, техническое задание; ставить задачи на разработку требований к подсистемам, контролировать их качество
ПК-2.3 Владеть: навыками оценки соответствия технического задания требованиям существующих систем и их аналогов
В результате освоения дисциплины обучающийся должен
3.1.Знать:
3.1.1.-цель создания системы, требования к системе, запросы на изменение требований к системе.
3.2.Уметь:
3.2.1.-разрабатывать концепцию системы, техническое задание; ставить задачи на разработку требований к подсистемам, контролировать их качество.
3.3.Иметь навыки и (или) опыт деятельности (владеть):
3.3.1.-навыками оценки соответствия технического задания требованиям существующих систем и их аналогов.

4. Структура и содержание дисциплины

Код занятия Наименование разделов и тем Вид занятия Семестр Часов Компетенции Литература
Раздел 1. Введение. Алгебра логики. Логические уровни. Логика состояний. Бинарная логика.
1.1. Основные понятия булевой алгебры. Логические переменные, логические функции. Реализация булевой функции с помощью переключательных и электронных схем. Теоремы булевой алгебры. Конъюнкции и дизъюнкции, канонические формы. Карты Карно, упрощение функций. Понятие базиса, представление функций в базисах И-НЕ, ИЛИ-НЕ. Смешанные схемы, элементы с тремя состояниями, схемы с открытым коллектором, расширение элементов. Лекции 5 4 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
1.2. Применение основных теорем и аксиом булевой алгебры Отрицание функции. Р-и S- термы. Канонические представления функций в виде Р-термов. Карты Карно. Упрощение дизъюнктивных нормальных форм. Базис И-НЕ. Реализация дизъюнктивных форм с помощью И-НЕ. Расширение элементов, смешанные схемы. Функции равнозначности и неравнозначности. Канонические представления функций в виде S-термов. Отображение S-термов на картах Карно. Инверсная функция. Базис ИЛИ-НЕ. Реализация конъюнктивных и дизъюнктивных форм в базисе ИЛИ-НЕ. Практические 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л2.1, Л3.1
1.3. Решение задач с использованием базовых теорем булевой алгебры. Составление таблиц истинности функций. Реализация функций в различных базисах. Представление и упрощение функций с помощью карт Карно. Получение канонических форм алгебраически и с помощью карт Карно. Минимизация функций и отрицаний функций с использованием ТНБ. Сам. работа 5 4 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
Раздел 2. Проектирование комбинационных логических схем.
2.1. Лабораторная работа №1: Комбинационные логические схемы.Проектирование и реализация на макетных платах КЛС из методических указаний. Работа с измерительными приборами. Лабораторные 5 10 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
2.2. Проектирование простых дешифраторов. Проектирование преобразователей кода. Практические 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
2.3. Комбинационные логические схемы. Полусумматор, сумматор, расширение разрядности. Двоичное кодирование, обратный код, дополнительный код. Сложение и вычитание чисел. Умножение. Преобразование кодов. Лекции 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л2.1, Л3.1
2.4. Разработка и реализация в заданных базисах различных преобразователей кода (NBCD в XS3,(NBCD в дополнительный и т.п.) Сам. работа 5 14 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л3.1
Раздел 3. Проектирование на основе микросхем средней степени интеграции.
3.1. Реализация функций трех и четырех переменных на 4-х и 8-ми входовом мультиплексоре. Выбор оптимального варианта. Проектирование полного 4-х разрядного сумматора на мультиплексорах. Реализация различных преобразователей кода на ПЗУ и ПЛМ. Определение необходимой емкости и организации ПЗУ и ПЛМ. Сам. работа 5 12 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л3.1
Раздел 4. Ситуации риска при проектировании цифровых схем.
4.1. Статический риск. Виды статического риска. Выявление ситуаций статического риска. Схемы, гарантированные от статического риска. Динамический риск. Выявление и способы устранения динамического риска. Лекции 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
4.2. Определение и устранение статического риска. Построение гарантированных от риска схем в базисах И-НЕ, ИЛИ-НЕ. Определение наличия динамического риска. Варианты устранения динамического риска. Практические 5 4 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
4.3. Анализ схем на присутствие разного рода рисков (статического, динамического). Избавление от ситуаций риска при проектировании логических схем. Сам. работа 5 8 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
Раздел 5. Последовательные схемы. Элементы памяти (триггеры). Схемы, проектируемые при помощи триггеров.
5.1. Лабораторная работа №2: Последовательные схемы.Практическая реализация и изучение функционирования триггерных схем, счетчиков, регистров. Лабораторные 5 10 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
5.2. Элементы памяти. Триггеры. Уравнение для запирания и отпирания триггера. RS- триггер. T, D, JK триггеры, таблицы истинности и уравнения. Счетчики. Двоичные счетчики. Счетчики по модулю 2,4,8…,2^n. Двоично-десятичные счетчики. Кольцевые счетчики, счетчики с автосбросом. Регистры. Регистры сдвига. Обратная связь в регистрах. Счетчики на основе сдвиговых регистров. Генераторы последовательности на сдвиговых регистрах. Генератор псевдослучайной последовательности. Метод скачка. Лекции 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л3.1
5.3. Стандартные типы триггеров. Проектирование триггеров. Проектирование синхронных и асинхронных счетчиков. Проектирование счетчиков и генераторов на сдвиговых регистрах. Практические 5 6 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л3.1
5.4. Варианты реализации двухступенчатых триггеров. Временные диаграммы работы стандартных триггеров. Принцип динамической записи. Многоразрядные счетчики на микросхемах. Надежный сброс в асинхронных счетчиках. Свойства кольцевого счетчика и счетчика Джонсона. Генератор псевдослучайной последовательности с заданной функцией обратной связи. Сам. работа 5 8 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л3.1
Раздел 6. Проектирование синхронных последовательных схем.
6.1. Лабораторная работа №3: Методы проектирования на основе микросхем средней степени интеграции. Лабораторные 5 8 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
6.2. Синхронные последовательные схемы. Постановка задачи. Алгоритм проектирования. Способы сокращения состояний. Кодирование состояний. Автоматы Мили и Мура. Лекции 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
6.3. Проектирование синхронных последовательных схем. Алгоритм проектирования. Практические 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
6.4. Обоснование выбора синхронной схемы вместо асинхронной для реализации конкретного ТЗ. Последовательность проектирования синхронной схемы. Сам. работа 5 10 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
Раздел 7. Проектирование асинхронных последовательных схем.
7.1. Асинхронные последовательные схемы. Определение, постановка задачи и алгоритм проектирования. Сокращение состояний. Гонки и циклы. Противогоночное кодирование состояний. Лекции 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
7.2. Проектирование асинхронных схем на примере счетчика клиентов предприятия. Практические 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
7.3. Анализ асинхронной схемы на наличие циклических переходов (циклов). Варианты устранения циклов. Обнаружение и устранение гонок в асинхронных схемах. Сам. работа 5 8 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.1, Л3.1
Раздел 8. Микропроцессоры. Введение.
8.1. Принцип декомпозиции академика Глушкова. Построение упрощенного микропроцессора (МП)на примере устройства двоичного умножения с программным управлением. Обобщенная структурная схема микропроцессорной системы. Понятие архитектуры МП. Принципы фон Неймана. Фоннеймановская, гарвардская архитектуры. Основные технические характеристики МП. Классификация МП. Лекции 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л2.1, Л3.1
8.2. Понятие микропроцессорного комплекта (МПК). Классификация МПК. Обзор популярных МПК. Однокристальные микроконтроллеры. Сам. работа 5 5 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л2.1, Л3.1
Раздел 9. Однокристальный 8-ми разрядный МП на примере I8080 (КР580ВМ80).
9.1. Разработка программ для МП 580ВМ80 на языке ассемблера Лабораторные 5 8 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л3.1
9.2. МП I8080 (КР580ВМ80). Структурная схема. Программная модель. Регистры. АЛУ. Последовательность выполнения команды в МП КР580ВМ80 (пример). Организация памяти. Организация ввода/вывода. Стек. Система команд. Типы и форматы команд. Способы адресации. Командный цикл. Машинные такты и машинные циклы. Типы машинных циклов. Байт состояния. Особые режимы работы (прерывание, ПДП, останов). Структурная схема блока центрального процессора на микропроцессорном комплекте серии 580. Лекции 5 4 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л2.1, Л3.1
9.3. Временные диаграммы обмена машинных циклов МП I8080(выборка, прерывание, ПДП, останов). Последовательность обработки запросов прерываний. Сам. работа 5 2 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л2.1, Л3.1
Раздел 10. Организация вычислительных систем на базе МП Intel 80х86.
10.1. 16-разрядные МП компании Intel. МП i8086. Основные характеристики. Минимальный и максимальный режим. Структурная схема. Организация памяти. Распределение памяти. Организация ввода/вывода. Программная модель. Регистры. Прерывания МП i8086. 32-разрядные МП. Основные характеристики. Режимы работы. Сегментная и страничная организация памяти. Формирование физического адреса в защищенном режиме. Основные характеристики, архитектурные и схемотехнические особенности 64-разрядных МП компании Intel. Лекции 5 4 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л2.1, Л3.1
10.2. Обзор современных МП компании Intel. Сравнительный анализ технических характеристик и функциональных возможностей МП компаний-конкурентов Intel. Сам. работа 5 4 ПК-2.1, ПК-2.2, ПК-2.3 Л2.3, Л1.1, Л2.1, Л3.1
Раздел 11. Введение. Классификация, краткая характеристика возможностей и применений СхЭВМ. Основные понятия и термины.
11.1. Место цифровых устройств в современной технике. История развития цифровых устройств. Область применения. Понятия степени интеграции. Развитие БИС/СБИС. Основные направления развития и применения. Современные схемотехнологии в производстве ИС. Отличия схемотехнологий КМОП, ТТЛ и ЭСЛ. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
11.2. Новейшие схемотехнологии производства ИС с использованием новых материалов. Сам. работа 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
Раздел 12. Простейшие модели и система параметров логических элементов.
12.1. Простейшие модели логических элементов. Статические параметры ЛЭ. Быстродействие ЛЭ. Мощность потребления ЛЭ. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
12.2. Система параметров КМОП (высоковольтных и низковольтных). Система параметров ТТЛ(Ш). Система параметров ЭСЛ. Сам. работа 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
12.3. Базовый логический элемент ТТЛШ, КМОП, ЭСЛ Практические 6 2 ПК-2.1, ПК-2.2, ПК-2.3
Раздел 13. Типы выходных каскадов цифровых элементов.
13.1. Логический выход. Элементы с тремя состояниями выхода. Выход с открытым коллектором(ОК) и эммитером(ОЭ). Нагрузочная характеристика элементов с ОК. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
13.2. Оценка нагрузочной характеристики элементов с ОК. Формирование парафазных выходных сигналов в элементах ЭСЛ. Сам. работа 6 4 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
13.3. Расчет режимов работы выходных каскадов Практические 6 4 ПК-2.1, ПК-2.2, ПК-2.3
Раздел 14. Паразитные связи цифровых элементов по цепям питания.
14.1. Паразитные связи цифровых элементов по цепям питания. Фильтрация питающих напряжений в схемах ЦУ. Зависимость помех по цепям питания от качества электрических соединений. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
14.2. Зависимость помех по цепям питания от качества применяемых блокировочных конденсаторов. Сам. работа 6 3 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
14.3. Минимизация паразитных помех по цепям питания Практические 6 2 ПК-2.1, ПК-2.2, ПК-2.3
Раздел 15. Передача сигналов в цифровых узлах и устройствах.
15.1. Перекрестные помехи. Искажение сигналов в несогласованных линиях. Линии передачи сигналов. Параллельное согласование волновых сопротивлений. Последовательное согласование волновых сопротивлений. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
15.2. Способы параллельного и последовательного согласования волновых сопротивлений. Сам. работа 6 4 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
15.3. Согласование волновых сопротивлений в ДЛ. Практические 6 2 ПК-2.1, ПК-2.2, ПК-2.3
Раздел 16. Вспомогательные элементы цифровых узлов и устройств.
16.1. Элементы задержки. Генераторы импульсов. Формирования импульсов по длительности. Элементы индикации. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
16.2. Схемы формирования импульсов по длительности. Схемы для управления элементами индикации. Сам. работа 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
16.3. Расчет генератора, времени задержки, формирование по длительности Практические 6 4 ПК-2.1, ПК-2.2, ПК-2.3
Раздел 17. Типовые ситуации применения цифровых ИС в узлах вычислительной техники.
17.1. Режим неиспользуемых входов. Режим неиспользуемых элементов Наращивание числа входов. Снижение нагрузок на входах ЛЭ. Согласование входных и выходных сигналов разных схемотехнологий. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
17.2. Согласование входных и выходных сигналов разных схемотехнологий. Сам. работа 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
Раздел 18. Введение в проблематику проектирования ЦУ комбинационного типа.Риски.
18.1. Введение в проблематику проектирования ЦУ комбинационного типа. Риски. Способы минимизации логических функций. Критерии качества проекта цифровых устройств. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
18.2. Способы минимизации логических функций. Критерии качества проекта цифровых устройств. Сам. работа 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
Раздел 19. Двоичные дешифраторы, приоритетные и двоичные шифраторы.
19.1. Двоичные дешифраторы. Приоритетные и двоичные шифраторы. Указатели старшей единицы. Наращивание разрядности приоритетного шифратора. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
19.2. Способы наращивание разрядности приоритетного шифратора. Сам. работа 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
19.3. Разработка дешифратора Практические 6 2 ПК-2.1, ПК-2.2, ПК-2.3
Раздел 20. Мультиплексоры и демультиплексоры. УЛМ.
20.1. Мультиплексоры и демультиплексоры. УЛМ. Способы настройки УЛМ. Наращивание размерности мультиплексора. Пирамидальные структуры УЛМ. Теорема Шеннона. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
20.2. Теорема Шеннона и ее применение для пирамидальных структур. Сам. работа 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
20.3. Проектирование КЛС на мультиплексоре Практические 6 2 ПК-2.1, ПК-2.2, ПК-2.3
Раздел 21. Компараторы, схемы контроля.
21.1. Компараторы. Контроль по модулю 2. Схемы свертки. Мажоритарный элемент. Контроль с использованием кода Хемминга. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
21.2. Схема кодера и декодера для кода Хемминга. Сам. работа 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
Раздел 22. Сумматоры, АЛУ, ускоренный перенос, умножители.
22.1. Одноразрядный сумматор. Параллельный сумматор с параллельным переносом. Параллельный сумматор с параллельным переносом. Сумматоры групповой структуры. Последовательный сумматор. Накапливающий сумматор. АЛУ, блоки ускоренного переноса. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
22.2. Матричные умножители. Схемы ускоренного умножения. Сам. работа 6 8 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
Раздел 23. Синхронизация цифровых устройств.
23.1. Синхронизация цифровых устройств. Параметры тактовых импульсов. Структура устройств синхронизации. Однофазная синхронизация. Двухфазная синхронизация. Размножение тактовых импульсов. Коррекция расфазирования импульсов. Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
23.2. Способы коррекции расфазирования импульсов. Сам. работа 6 4 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
Раздел 24. Регистры и счетчики.
24.1. Регистры и регистровые файлы. Счетчики. Классификация. Двоичные счетчики. Счетчики с групповой структурой. Двоично-кодированные счетчики с произвольным модулем. Счетчики с недвоичным кодированием (в коде Грея, в коде 1 из N). Лекции 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1
24.2. Счетчики с недвоичным кодированием (в коде Грея, в коде 1 из N) Сам. работа 6 2 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4
Раздел 25. Проектирование устройств на программируемых логических интегральных схемах
25.1. Лабораторная работа №1: «Проектирование простых устройств на базе ПЛИС ALTERA» Лабораторные 6 12 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4, Л2.2
25.2. Проектирование простых устройств на базе ПЛИС ALTERA Сам. работа 6 20 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4, Л2.2
25.3. Лабораторная работа №2: «Проектирование счетчиков на базе ПЛИС ALTERA» Лабораторные 6 12 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4, Л2.2
25.4. Проектирование счетчиков на базе ПЛИС ALTERA Сам. работа 6 22 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4, Л2.2
25.5. Лабораторная работа №3: «Проектирование цифровых автоматов на базе ПЛИС ALTERA» Лабораторные 6 12 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4, Л2.2
25.6. Проектирование цифровых автоматов на базе ПЛИС ALTERA Сам. работа 6 24 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4, Л2.2
25.7. Лабораторная работа №4: «Проектирование цифровых узлов с шинной организацией на базе ПЛИС ALTERA» Лабораторные 6 12 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4, Л2.2
25.8. Лабораторная работа №4: «Проектирование цифровых узлов с шинной организацией на базе ПЛИС ALTERA» Сам. работа 6 24 ПК-2.1, ПК-2.2, ПК-2.3 Л1.1, Л2.4, Л2.2

5. Фонд оценочных средств

5.1. Контрольные вопросы и задания для проведения текущего контроля и промежуточной аттестации по итогам освоения дисциплины
5-й семестр
Оценочные материалы для текущего контроля по разделам и темам дисциплины в полном объеме размещены в онлайн-курсе на образовательном портале "Цифровой университет АлтГУ" по адресу: https://portal.edu.asu.ru/course/view.php?id=2584.

Оценка сформированности компетенции ПК-2: Способен осуществлять концептуальное, функциональное и логическое проектирование систем среднего и крупного масштаба и сложности.

ЗАДАНИЯ ЗАКРЫТОГО ТИПА
1. комбинационная логическая схема - это
Выберите один или несколько ответов:
а) схема, не содержащая элементов памяти (триггеров)
b) схема, переход которой в следующее состояние зависит от текущего
c) схема, логические состояния выходов которой в любой момент времени однозначно определяются состояниями ее входов
Ответ: а), c)
2. уравнение, двойственное исходному уравнению получается
Выберите один ответ:
a) заменой операций сложения на умножение и заменой всех переменных на их отрицания и наоборот
b) заменой операций сложения на умножение и заменой всех 1 на 0 и наоборот
c) заменой операций сложения на умножение и наоборот
Ответ: b)
3. Какой логический уровень необходимо подать на один из входов двухвходового элемента И-НЕ, чтобы на выходе получить инверсию сигнала, поступающего на другой вход?
Выберите один ответ:
a) высокий
b) оставить вход незадействованным
c) низкий
Ответ: а)
3. Карта Карно предназначена для
Выберите один ответ:
a) графического представления булевой функции в ДНФ
b) анализа булевой функции на наличие динамического риска
c) графического представления булевой функции в КНФ
Ответ: а)
4. Вычислите сумму двоичных чисел
01101101+10011100=
Ответ:
5. демультиплексор - это устройство, которое
Выберите один ответ:
a) коммутирует входную информационную линию на одну из выходных
b) выдает на выходе двоичный код, пропорциональный входному сигналу
c) подключает один из входов к выходу
Ответ: а)
6. дешифратор - это демультиплексор, у которого на входе
Выберите один или несколько ответов:
a) постоянный уровень
b) низкий уровень
c) высокий уровень
Ответ: a), b), c)
7. эффект гонок характерен для
Выберите один ответ:
a) D-триггера
b) RS-триггера
c) JK-триггера
Ответ: с)
8. Cинхронный счетчик можно построить на
Выберите один или несколько ответов:
a) на JK-триггерах
b) на полных сумматорах
c) на D-триггерах
d) на RS-триггерах
e) на мультиплексорах
f) любых типах триггеров, установленных в счетный режим
Ответ: a), c), d), f)
9. модуль счетчика - это
Выберите один ответ:
a) общее число состояний
b) число счетных состояний
c) число неиспользуемых состояний
Ответ: b)
10. если синхронный счетчик построен на JK-триггерах, а A, B, C, N - выходы разрядов счетчика, то выражение для входов триггеров Jn=Kn=AꓵBꓵCꓵ … ꓵ(N-1) соответствует счетчику
Выберите один ответ:
a) с комбинированным переносом
b) с параллельным переносом
c) с последовательным переносом
Ответ: b)
11. синхронный счетчик, работающий в коде Грея, позволяет
Выберите один ответ:
a) повысить общее быстродействие счетчика
b) исключить ложные состояния на выходе
c) реализовать суммирующий или вычитающий счетчик без дополнительной логики
Ответ: b)
12. в 4-х-разрядном генераторе псевдослучайной последовательности на сдвиговом регистре (А, B, C, D - выходы триггеров, D - старший разряд) последовательность максимальной длины можно получить, если функция обратной связи равна
Выберите один или несколько ответов:
a) С равнозначно D
b) A неравнозначно B
c) A равнозначно B
d) A равнозначно D
e) A неравнозначно D
f) С неравнозначно D
Ответ: d), e)
13. В счетчике Джонсона обратная связь берется с
Выберите один ответ:
a) прямого выхода последнего триггера
b) инверсного выхода последнего триггера
c) инверсного выхода предпоследнего триггера
Ответ: b)
14. в каком из автоматов Мили и Мура, реализующих одну и ту же задачу, число используемых состояний больше?
Выберите один ответ:
a) в автомате Мили
b) число состояний одинаково
c) в автомате Мура
Ответ: c)
15. основной режим работы асинхронной последовательной схемы допускает изменение
Выберите один ответ:
a) входных сигналов в один момент времени
b) только одной главной переменной в один момент времени
c) допускает изменение главных переменных в один момент времени
Ответ: b)

КРИТЕРИИ ОЦЕНИВАНИЯ: Каждое задание оценивается 1 баллом. Оценивание КИМ теоретического характера в целом:
• «зачтено» – верно выполнено более 50% заданий; «не зачтено» – верно выполнено 50% и менее 50% заданий;
• «отлично» – верно выполнено 85-100% заданий; «хорошо» – верно выполнено 70-84% заданий; «удовлетворительно» – верно выполнено 51-69% заданий; «неудовлетворительно» – верно выполнено 50% или менее 50% заданий.

ЗАДАНИЯ ОТКРЫТОГО ТИПА
1. Полусумматор - это
Ответ: комбинационная логическая схема, предназначенная для сложения двух одноразрядных двоичных чисел при арифметическом суммировании.
2. К стандартным типам триггеров относятся
Ответ: RS -, JK -, D - триггеры.
3. Суть запрещенной комбинации сигналов на входах RS - триггера состоит
Ответ: в том, что она приводит к неопределенности состояния триггера. Для RS - триггера с активными высоким уровнями при этой комбинации на обоих выходах устанавливаются высокие уровни (лог. 1). Это неустойчивое состояние обеспечивается только воздействием входных сигналов. Если на входы будет подана комбинация 00 – режим хранения, триггер перейдет в одно из устойчивых состояний, какое – неизвестно.
4. Назовите способы устранения эффекта гонок в JK - триггере на 4-х элементах И-НЕ:
Ответ: 1) использование динамической записи; 2) использование двухступенчатой структуры триггера.
5. D - триггер предназначен для
Ответ: записи информации со информационного входа и передачи ее на выход.
6. Суть динамической записи состоит в том, что
Ответ: блокируется прием входной информации по достижению уровня переключения на входе синхронизации автомата.
7. Отличие синхронных счетчиков от асинхронных в том, что
Ответ: в синхронных счетчиках все триггеры переключаются по синхроимпульсу, в асинхронных – по входному асинхронному сигналу.
8. Перечислите основные параметры счетчиков
Ответ: модуль М – число счетных состояний, например, 0÷5, М=6; разрядность N – число выходов триггеров; общее число состояний = 2^N = М + НС (неиспользуемые состояния); направление счета (прямое – суммирующие счетчики, обратное - вычитающие, реверсивное – со сменой направления счета); вес разряда – десятичный эквивалент при активном уровне разряда, сумма весов разрядов равна максимальному значению счетчика; предельная частота счета – частота входных импульсов, при которой триггеры счетчика еще переключаются.
9. По способу кодирования состояний счетчики делятся на
Ответ: двоичные, работающие в коде Грея, с позиционным кодом 1 из N (кольцевые), Джонсона и др.
10. Направление счета асинхронного счетчика зависит от
Ответ: используемого выхода (прямого или инверсного) предыдущего триггера и рабочего уровня (фронта) на входе синхронизации последующего, с которым выполняется соединение.
11. Поясните функциональное назначение регистров хранения и сдвига.
Ответ: Регистр хранения предназначен для записи/ хранения/ N-разрядных слов. Сдвиговый регистр служит для преобразования информации путем ее сдвига под воздействием тактовых импульсов.
12. Какие типы триггеров можно использовать для реализации регистров хранения и сдвига?
Ответ: Для построения регистров используются все типы стандартных триггеров – JK, D, RS, со статическим и динамическим управлением, одно- и двухступенчатые.
13. Поясните принцип построения счетчика на основе сдвигового регистра.
Ответ: Из универсальной диаграммы состояний сдвигового регистра нужной разрядности выбирается последовательность состояний, число которых равно модулю счетчика. Далее находится fос как функция выбранных состояний и ее минимальная форма. Выходной код снимается с выходов триггеров. Для получения требуемой последовательности состояний используется дешифратор.
14. Каким образом реализуется самозапуск по включению питания в кольцевом счетчике на основе сдвигового регистра?
Ответ: Для этого формируется функция обратной связи, в которой объединяются по И сигналы с инверсных выходов всех триггеров, кроме старшего разряда,
15. В кольцевом счетчике по кольцу под воздействием тактовых импульсов двигается лог. 1. Какие варианты текущего состояния счетчика в принципе возможны в результате сбоя?
Ответ: лишняя единица(ы) в каком-либо разряде(ах), нули во всех.
16. В счетчике Джонсона, если все триггеры предварительно установлены в 0, либо 1, число счетных состояний равно удвоенной разрядности счетчика. Сколько неиспользуемых состояний имеет 5-ти разрядный счетчик Джонсона?
Ответ: 5-разрядный счетчик Джонсона при 10 счетных имеет 2^5 –10 = 22 неиспользуемых состояния.
17. Как на сдвиговом регистре реализовать генератор псевдослучайной последовательности?
Ответ: генератор строится на основе сдвигового регистра с элементом ИСКЛЮЧАЮЩЕЕ-ИЛИ/ИЛИ-НЕ в цепи обратной связи.
18. Поясните, что из себя представляет ситуация статического риска?
Ответ: Ситуация статического риска – короткие выбросы на выходе логического элемента. Возникает из-за временно̀й задержки между переменной и ее отрицанием при их прохождении через логический элемент.
19. Что такое гонки в асинхронных автоматах?
Ответ: гонки возникают между вторичными переменными (выходами триггеров), когда при изменении состояния автомата переключаются два и более триггера, имеющие разное время переключения.
20. Укажите правильную последовательность проектирования синхронной последовательной схемы:
Ответ: постановка задачи, диаграмма состояний, сокращение состояний, получение уравнений для входов триггеров, реализация схемы. Возможен возврат к постановке задачи для корректировки работы автомата.

КРИТЕРИИ ОЦЕНИВАНИЯ ОТКРЫТЫХ ВОПРОСОВ.
«Отлично» (зачтено): Ответ полный, развернутый. Вопрос точно и исчерпывающе передан, терминология сохранена, студент превосходно владеет основной и дополнительной литературой, ошибок нет.
«Хорошо» (зачтено): Ответ полный, хотя краток, терминологически правильный, нет существенных недочетов. Студент хорошо владеет пройденным программным материалом; владеет основной литературой, суждения правильны.
«Удовлетворительно» (зачтено): Ответ неполный. В терминологии имеются недостатки. Студент владеет программным материалом, но имеются недочеты. Суждения фрагментарны.
«Неудовлетворительно» (не зачтено): Не использована специальная терминология. Ответ в сущности неверен. Переданы лишь отдельные фрагменты соответствующего материала вопроса. Ответ не соответствует вопросу или вовсе не дан.

6 семестр.
Задания закрытого типа
1. Выберете правильное утверждение.:
a) Компараторы (устройства сравнения) определяют отношения между множеством слов
b) Компараторы (устройства сравнения) определяют отношения между двумя словами
c) Компараторы (устройства сравнения) не определяют отношения
Ответ: b
2. На какие две группы делятся входы мультиплексора?
a) Информационные и адресные
b) Информационные и входы данных.
Ответ: a
3. Какие ошибки можно обнаружить с помощью модифицированного кода Хемминга?
a) двойные
b) тройные
c) одинарные
d) ошибки четности
Ответ: а
4. В чем состоит универсальность логических модулей (УЛМ) на основе мультиплексоров?
a) Для заданного числа аргументов можно настроить УЛМ на любую функцию
b) Для бесконечного числа аргументов можно настроить УЛМ на любую функцию
Ответ: a
5. Чему равны допустимые статические помехи элемента для уровня U1 и U0 ?
a) U-пом = Uвых.0.min–Uвx.0.min и U+пом = Uвых.1.max –Uвx.1.max
b) U-пом = Uвых.1.min–Uвx.1.min и U+пом = Uвых.0.max –Uвx.0.max
c) U-пом = Uвх.1.min–Uвыx.1.min и U+пом = Uвх.0.max –Uвыx.0.max
d) U-пом = Uвых.1.min+Uвx.1.min и U+пом = Uвых.0.max +Uвx.0.max
Ответ: a
6. Как на выходе элемента ЭСЛ реализовать функцию ИЛИ и ИЛИ-HE?
a) Соединяя инверсные выходы нескольких элементов, получают расширение по ИЛИ, соединяя прямой выход с инверсным, получают операцию И-ИЛИ
b) Соединяя прямые выходы нескольких элементов, получают расширение по ИЛИ, соединяя инверсные выходы, получают операцию И-ИЛИ относительно инверсий входных переменных
Ответ: b
7. Для чего разработаны сумматоры для параллельных операндов с параллельным переносом?
a) Для получения максимального быстродействия
b) Для уменьшения числа логических элементов
Ответ: a
8. Выберите особенности логических выходов логических элементов:
a) Их можно соединять параллельно
b) Выходное сопротивление стремятся сделать малым, способным развивать большие токи для перезаряда емкостных нагрузок
c) Протекание через оба транзистора коротких импульсов тока при переключениях элемента из одного логического состояния в другое
d) Выходные напряжения формируются с участием активных транзисторов, работающих противофазно, что обеспечивает малые выходные сопротивления
Ответ: b, c, d
9. Двоичные дешифраторы преобразуют двоичный код в код ”________”. В кодовой комбинации этого кода только одна позиция занята единицей, а все остальные нулевые.
a) 3 из N
b) 10 из N
c) 1 из N
Ответ: c
10. Если в конце линии связи подключено сопротивление RH=Z0, то...
a) Отношение u/i сохраняется, падающая волна не встречает неоднородности и целиком поглощается нагрузкой
b) Отношение u/i сохраниться не может, и должно произойти искажение волны
Ответ: a
11. Что влияет на быстродействие ЛЭ?
Ёмкости, на перезаряд которых требуются затраты времени
a) Скорость перехода ЛЭ из одного состояния в другое
b) Использовать более высокочастотные транзисторы и переключение транзисторов производить большими управляющими токами в цепи базы
c) Задержки сигналов, как в логических элементах, так и в цепях их межсоединений
Ответ: a, b, с
12. Какое сложение и вычитание чисел выполняют сумматоры?
a) Арифметическое
b) Логическое
Ответ: a
13. Статические риски это ...
a) длительные изменения сигнала, который должен был бы оставаться неизменным
b) кратковременные изменения сигнала, который должен был бы оставаться неизменным
Ответ: b
14. Код – это …
a) совокупность кодовых комбинаций, используемых для отображения информации
b) минимальное кодовое расстояние для любой пары комбинаций, входящих в данный код
c) число ошибок в слове (число неверных разрядов)
Ответ: a
15. Борьба с перекрестными помехами осуществляется...?
a) Размещением между сигнальными линиями экранирующих заземленных проводников
b) Применением коаксиальных кабелей, витых пар и др.
c) Запретом параллельного расположения близких и длинных сигнальных линий
d) Использованием параллельного или последовательного согласования волновых сопротивлений
Ответ: a, b, c
Задания открытого типа
1. Верно или неверно утверждение: «Задача мажоритарного элемента — произвести "голосование" и передать на выход величину, соответствующую большинству из входных».
Ответ: верно
2. Верно или неверно утверждение: «Мультиплексоры осуществляют подключение одного из входных каналов к выходному под управлением управляющего (адресующего) слова».
Ответ: верно
3. Таким образом, для ____________ и ТТЛ(Ш) режим неиспользуемых входов — это подсоединение их к константам (логическим единицам или нулям), не изменяющим работу схемы для задействованных входов. Заполните пропуск.
Ответ: КМОП
4. При последовательном согласовании на выходе длинной линии действует высокое входное сопротивление элемента приемника, следовательно, коэффициент отражения приблизительно равен:
Ответ: единице
5. На выходе длинной линии присутствует короткое замыкания, следовательно, коэффициент отражения приблизительно равен:
Ответ: минус единице
6. Верно или неверно утверждение: «Приоритетный шифратор вырабатывает на выходе двоичный номер старшего запроса».
Ответ: верно
7 Что является причинами нарушения нормальной работы ЦУ??
Ответ: отказы и сбои
8. Генераторами прямоугольных импульсов служат также типовые микросхемы _________, стабильность частоты которых имеет тот же порядок, что и генераторов на основе логических элементов. Заполните пропуск.
Ответ: одновибраторы
9. При параллельном согласовании в конце линии связи включают резистор (иногда называемый терминатором), чтобы сделать сопротивление нагрузки линии равным волновому. Что при этом происходит?
Ответ: Полное устранение паразитных колебаний
10. Что происходит в элементе, находящимся в состоянии "отключено" (Z-состоянии)?
Ответ: обеспечивается запертое состояние обоих транзисторов выходного каскада
11 Какую операцию выполняют демультиплексоры?
Ответ: передают данные из единственного входного канала в один из нескольких выходных каналов
12. Мощность, потребляемая логическим элементом, делится на статическую и _________? Заполните пропуск.
Ответ: динамическую
13. Какие типы конденсаторов выбирают для фильтрации напряжений питания между линиями Ucc и "землей"?
Ответ: керамические, имеющие малую паразитную индуктивность
14. Для логических элементов ТТЛ уровень логического нуля U0вых на выходе при нормальных условиях работы не более ____. Заполните пропуск.
Ответ: 2,4 вольта.
15. Для логических элементов КМОП уровень логической единицы U1вых на выходе при нормальных условиях работы не менее ___*Uп. Заполните пропуск.
Ответ: 0,9
16. В статическом режиме базовый логический элемент ТТЛ потребляет _______ энергии, чем элемент КМОП. Заполните пропуск.
Ответ: больше
17. Быстродействие логических элементов ТТЛ(Ш) _________ логических элементов ЭСЛ. Заполните пропуск.
Ответ: меньше
18. Применение программируемых логических схем _________ скорость разработки цифровых устройств. Заполните пропуск в утверждении.
Ответ: увеличивает
19. Заполните пропуск в следующем утверждении. Цифровые устройства, реализованные с применением микропроцессоров, имеют _________ быстродействие, чем устройства, реализованные на ПЛИС.
Ответ: меньшее
20. Верно или неверно утверждение: «Минимизация числа переменных необязательна при проектировании цифровых устройств на ПЛИС»
Ответ: верно
5.2. Темы письменных работ для проведения текущего контроля (эссе, рефераты, курсовые работы и др.)
5-й семестр
Письменные работы:
Контрольная работа №1. Базовые логические элементы. Синтез цифровых узлов на микросхемах малой степени интеграции.
Контрольная работа №2. Анализ и синтез цифровых узлов на микросхемах средей степени интеграции.
Контрольная работа №3. Синхронные и асинхронные последовательные схемы.

6-й семестр
Письменные работы:
Сравнительный анализ цифровых схемотехнологий отечественного и зарубежного производства.
Расчет схем с ОК для работы на различную нагрузку.
Построение цифровых узлов на логических микросхемах малой и средней степени интеграции.
Построение цифровых устройств с использованием ПЛИС.
Основные характеристики и особенности применения Verilog, AHDL, VHDL.
Обзор средств разработки, программирования и сквозного проектирования цифровых и смешанных устройств на базе ПЛИС и ПАИС.

Вопросы к коллоквиуму №1
1. История появления и развития интегральных схем. Степень интеграции.
2. Схемотехнологии КМОП, ТТЛШ, ЭСЛ. Логические уровни. Сравнение быстродействия и энергопотребления.
3. Простейшие модели логических элементов.
4. Статические параметры ЛЭ. Сравнение схемотехнологий ЭСЛ, КМОП и ТТЛШ.
5. Быстородействие ЛЭ. Сравнение схемотехнологий ЭСЛ, КМОП и ТТЛШ.
6. Мощности потребления ЛЭ. Сравнение схемотехнологий ЭСЛ, КМОП и ТТЛШ.
7. Логический выход. ТТЛШ и КМОП. Схема.
8. Выход с тремя состояниями. ТТЛШ и КМОП. Схема.
9. Выход с открытым коллектором(стоком). Монтажная логика. ТТЛШ и КМОП. Схема.
10. Расчет верхнего и нижнего значений сопротивления для схем с ОК или ОС. Схема.
11. Выход с программированием ТС-ОС. Пример схемы. Описание работы.
12. Выход с открытым эмиттером. Эмиттерный дот. Схема и принцип работы.
13. Режимы временно разомкнутых выводов. Pull-up и pull-down резисторы. Схема и принцип работы.
14. Выводы микросхемы с запоминанием последнего значения сигнала. Схема и принцип работы.
15. Режим неиспользуемых входов стандартных ИС. Схемы.
16. Согласование уровней сигналов при сопряжении разнотипных элементов. Схемы и принцип работы.
17. Режим неиспользуемых элементов. Наращивание числа входов. Снижение нагрузок на выходах ЛЭ.
18. Перспективы развития ИС с малой и средней степенью интеграции.
Вопросы к коллоквиуму №2
1. Паразитные связи цифровых элементов по цепям питания. Фильтрация питающих напряжений. Схема.
2. Помехи в сигнальных линиях. Перекрестные помехи. Схема. Выражения.
3. Искажения в несогласованных линиях. Схема. Выражения.
4. Параллельное согласование волновых сопротивлений. Схемы. Выражения.
5. Последовательное согласование волновых сопротивлений. Схема.
6. Схемы с одновременным согласованием волновых сопротивлений в начале и конце линии. Схемы.
7. Линии передачи сигналов. Схемы. Выражения. Дифференциальный способ передачи. Использование триггера Шмитта. Простейшие линии передачи. Примеры.
8. Линии связи с гальванической развязкой. Схема.
9. Линии передачи типа "токовая петля". Схема.
10. Элементы задержки. Схема. Временные диаграммы. Выражения.
11. Формирование импульсов по длительности. Схемы. Временные диаграммы. Логические выражения.
12. Генераторы импульсов. . Схема. Временные диаграммы. Выражения.
13. Элементы индикации на светодиодных индикаторах. 7-сегментный индикатор. Схемы с общим анодом и катодом. Расчет резистора. Схемы.
14. Элементы индикации на жидкокристаллических индикаторах. Схема управления. Матрица индикатора. Принцип работы плоских дисплеев. Мультиплексирование и построчный или чрезстрочный способ отображения.
Вопросы к коллоквиуму №3
1. Проблематика проектирования ЦУ. Статический и динамический риск. Борьба. Схемы.
2. Проблематика проектирования ЦУ. Этапы проектирования произвольной логики. LUT. Схемы. Выражения.
3. Проблематика проектирования ЦУ. Этапы проектирования произвольной логики. SLC. Схемы. Выражения.
4. Проблематика проектирования ЦУ. Этапы проектирования произвольной логики. PAL и PLA. Схемы. Выражения.
5. Проблематика проектирования ЦУ. Этапы проектирования произвольной логики. УЛМ на мультиплексорах. Схемы. Выражения.
6. Двоичный дешифратор. Расширение входов. Схемы. Выражения.
7. Приоритетные и двоичные шифраторы. Указатели старшей единицы. Схемы. Выражения.
8. Мультиплексор и демультиплексор. Схемы. Выражения.
9. УЛМ на мультиплексоре. Первый способ настройки. Схемы. Выражения.
10. УЛМ на мультиплексоре. Второй способ настройки. Получение сигналов настройки (Фиксация наборов аргументов, разложение по Шеннону, таблица истинности).Схемы. Выражения.
11. Компараторы. Схема. Выражения.
12. Схемы контроля. Мажоритарный элемент. Схемы. Выражения.
13. Схемы контроля. Контроль по модулю 2. Схемы. Выражения.
14. Схемы контроля. Схемы свертки. Передача с контролем по модулю 2.Схемы. Выражения.
15. Схемы контроля. Контроль с помощью кода Хемминга. Основные понятия теории кодирования. Пример.
16. Схемы контроля. Кодер и декодер кода Хемминга. Схемы. Описание.
17. Сумматоры. Одноразрядный сумматор. Схемы. Выражения.
18. Сумматоры. Последовательный сумматор. Схемы. Выражения.
19. Сумматоры. Параллельный сумматор с последовательным переносом. Схемы. Выражения.
20. Сумматоры. Параллельный сумматор с параллельным переносом. Схемы. Выражения.
21. Сумматоры. Сумматор с передачей сигналов переноса по цепочке замкнутых ключей. Схемы. Выражения.
22. Сумматоры. Сумматоры групповой структуры. Схемы. Выражения.
23. Сумматоры. Сумматор с ускоренным переносом. Схемы. Выражения.
24. АЛУ и блоки ускоренного переноса. Схемы. Таблица истинности. Выражения.
25. Матричные умножители. Схемы. Выражения.
26. Множительно-суммирующие блоки. Блок-схемы. Выражения.
27. Схемы ускоренного умножения. Блок-схемы. Выражения.
28. Быстрые сдвигатели. Сдвигатель правляемый кодом 1 из N и двоичным кодом. Блок-схемы.
5.3. Фонд оценочных средств для проведения промежуточной аттестации
Промежуточная аттестация заключается в проведении в конце семестра экзамена по всему изученному курсу. Экзамен проводится в устной форме по билетам. В билет входит 2 вопроса теоретического характера и 1 вопрос практико-ориентированного характера (задача).

Вопросы к экзамену по дисциплине «Цифровая схемотехника», 5 семестр.

1. Карты Карно и представление с помощью них булевых функций. Упрощение булевых функций. ТНБ.
2. Реализация функций И, ИЛИ, НЕ, ДНФ с помощью элементов И-НЕ.
3. Реализация функций И, ИЛИ, НЕ, ДНФ и КНФ с помощью элементов ИЛИ-НЕ.
4. Элементы ИСКЛЮЧАЮЩЕЕ ИЛИ и ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ. Свойства.
5. Комбинационные схемы (определение). Полусумматор.
6. Полный сумматор. Реализации. Наращивание разрядности (функциональная схема).
7. Мультиплексор как генератор логических функций.
8. Демультиплексор и дешифратор. Функции и применение.
9. RS-триггер.
10. JK-триггер.
11. D-триггер.
12. Двухступенчатые триггеры.
13. Динамическая запись в триггерах.
14. Синхронный двоичный счетчик. Диаграмма переходов, таблица состояний, схемная реализация.
15. Синхронный счетчик по модулю |8|. Счетчик по модулю |2n|.
16. Синхронный счетчик обратного счета и реверсивный счетчик.
17. Синхронный счетчик с неполным модулем. Неиспользуемые состояния. Варианты реакции на запирания.
18. Асинхронные двоичные счетчики прямого и обратного счета с полным модулем.
19. Асинхронные счетчики с автоматическим сбросом. Реализация надежного сброса триггеров.
20. Проектирование счетчика на сдвиговом регистре.
21. Генератор последовательности на сдвиговом регистре.
22. Кольцевой счетчик на сдвиговом регистре.
23. Счетчик Джонсона на сдвиговом регистре.
24. Генераторы псевдослучайных последовательностей. Свойства. Метод скачка.
25. Использование ПЗУ в качестве генератора логических функций.
26. Методы адресации для ПЗУ.
27. Преобразователи кода на ПЗУ и ПЛМ.
28. Ситуации риска в комбинационных схемах. Статический 0 и 1 риск.
29. Устранение статического риска в комбинационных схемах.
30. Динамический риск в комбинационных схемах.
31. Синхронные последовательные схемы. Определение. Алгоритм проектирования синхронных последовательных схем. Сокращение состояний. Правило Колдуэлла.
32. Автоматы Мили и Мура. Преобразование автомата Мили в автомат Мура (на примере).
33. Асинхронные последовательные схемы. Определение. Циклы и гонки.
34. Противогоночное кодирование для асинхронного автомата с 3-мя и 4-мя состояниями.
35. Однокристальный 8-разрядный МП 580ВМ80. Структурная схема.
36. Программная модель МП 580ВМ80. Регистры. Организация памяти и ввода/вывода.
37. Принцип работы МП. Функции устройства управления.
38. Алгоритм выполнения команд в МП 580ВМ80 (прокомментировать по структурной схеме).
39. Форматы и типы команд МП 580ВМ80, способы адресации (примеры).
40. Циклы МП 580ВМ80. Типы машинных циклов.

Промежуточная аттестация заключается в проведении в конце 6 семестра экзамена по всему изученному курсу при условии выполнения всех лабораторных работ. Экзамен проводится в устной форме по билетам. В билет входит 2 вопроса.
Контрольные вопросы к экзамену по курсу «Цифровая схемотехника» 6 семестр.
1. Простейшие модели логических элементов.
2. Статические параметры логических элементов.
3. Быстродействие логических элементов. Мощности потребления логических элементов.
4. Типы выходных каскадов цифровых элементов. Логический выход.
5. Типы выходных каскадов цифровых элементов. Элементы с тремя состояниями выхода.
6. Типы выходных каскадов цифровых элементов. Выход с открытым коллектором.
7. Типы выходных каскадов цифровых элементов. Выход с открытым эмиттером.
8. Паразитные связи цифровых элементов по цепям питания. Фильтрация питающих напряжений в схемах ЦУ.
9. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Сигнальные линии повышенного качества. Перекрестные помехи.
10. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Искажения сигналов в несогласованных линиях.
11. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Параллельное согласование волновых сопротивлений.
12. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Последовательное согласование волновых сопротивлений.
13. Передача сигналов в цифровых узлах и устройствах. Помехи в сигнальных линиях. Линии передачи сигналов.
14. Вспомогательные элементы цифровых узлов и устройств. Элементы задержки.
15. Вспомогательные элементы цифровых узлов и устройств. Формирование импульсов по длительности.
16. Вспомогательные элементы цифровых узлов и устройств. Генераторы импульсов.
17. Вспомогательные элементы цифровых узлов и устройств. Элементы индикации.
18. Типовые ситуации при построении узлов и устройств на стандартных ИС. Режимы неиспользуемых входов. Режимы неиспользуемых элементов.
19. Типовые ситуации при построении узлов и устройств на стандартных ИС. Наращивание числа входов. Снижение нагрузок на выходах логических элементов.
20. Функциональные узлы комбинационного типа. Понятие динамического и статического рисков. Переходные процессы. Синхронные комбинационные схемы.
21. Функциональные узлы комбинационного типа. Этапы проектирования произвольной логики комбинационного типа. Логические блоки табличного типа.(LUTs).
22. Функциональные узлы комбинационного типа. Этапы проектирования произвольной логики комбинационного типа. Логические блоки в виде последовательности матриц И и ИЛИ. (ПЛМ и ПМЛ).
23. Функциональные узлы комбинационного типа. Этапы проектирования произвольной логики комбинационного типа. Универсальные логические блоки на основе мультиплексоров.
24. Функциональные узлы комбинационного типа. Этапы проектирования произвольной логики комбинационного типа. Логические блоки, собираемые из элементов некоторого базиса.(SLC).
25. Функциональные узлы комбинационного типа. Проблематика проектирования ЦУ. Критерии качества.
26. Функциональные узлы комбинационного типа. Двоичные дешифраторы. Схемотехническая реализация дешифраторов.
27. Функциональные узлы комбинационного типа. Приоритетные и двоичные шифраторы. Указатели старшей единицы.
28. Функциональные узлы комбинационного типа. Мультиплексоры и демультиплексоры.
29. Универсальные логические модули на основе мультиплексоров. Первый способ настройки УЛМ.Второй способ настройки УЛМ.
30. Универсальные логические модули на основе мультиплексоров. Пирамидальные структуры УЛМ. Способы поиска сигналов настройки УЛМ. (разложение по Шеннону, из таблицы истинности, фиксацией наборов аргументов).
31. Функциональные узлы комбинационного типа. Компараторы.
32. Функциональные узлы комбинационного типа. Схемы контроля. Мажоритарные элементы.
33. Функциональные узлы комбинационного типа. Схемы контроля. Контроль по модулю 2.
34. Функциональные узлы комбинационного типа. Схемы контроля. Схемы свертки.
35. Функциональные узлы комбинационного типа. Схемы контроля. Контроль с использованием кодов Хемминга.
36. Функциональные узлы комбинационного типа. Схемы контроля. Схема кодера и декодера для кода Хемминга.
Приложения
Приложение 4.   Laboratornaya rabota po skhemotekhnike_3.pdf
Приложение 5.   Laboratornaya rabota po skhemotekhnike_2.pdf
Приложение 6.   Laboratornaya rabota po skhemotekhnike_1.pdf
Приложение 7.   Laboratornaya rabota po skhemotekhnike_4.pdf
Приложение 8.   ЦМПТ_практикум.pdf

6. Учебно-методическое и информационное обеспечение дисциплины

6.1. Рекомендуемая литература
6.1.1. Основная литература
Авторы Заглавие Издательство, год Эл. адрес
Л1.1 Ю.В. Новиков Введение в цифровую схемотехнику: учебное пособие ИНТУИТ; Бином, 2007 biblioclub.ru
6.1.2. Дополнительная литература
Авторы Заглавие Издательство, год Эл. адрес
Л2.1 Мышляева И.М. Цифровая схемотехника: Учебник для сред. проф. образования М.: Издательский центр «Академия», 2005
Л2.2 Максфилд К. Проектирование на ПЛИС. Курс молодого бойца: учебное пособие ДМК-пресс, 2015 www.studentlibrary.ru
Л2.3 Хартов В.Я. Микропроцессорные системы: учеб. пособие для вузов М.: Академия, 2010
Л2.4 Миленина Светлана Александровна Электроника и схемотехника: Учебник и практикум: Юрайт, 2017 www.biblio-online.ru
6.1.3. Дополнительные источники
Авторы Заглавие Издательство, год Эл. адрес
Л3.1 Матющенко Ю. Я. Цифровая и микропроцессорная техника: Практикум Барнаул : АлтГУ, 2017 elibrary.asu.ru
6.2. Перечень ресурсов информационно-телекоммуникационной сети "Интернет"
Название Эл. адрес
Э1 Новиков Ю.В. Введение в цифровую схемотехнику: учебное пособие. – М.: ИНТУИТ; БИНОМ, 2007. biblioclub.ru
Э2 Матющенко Ю.Я. Цифровая и микропроцессорная техника. Практикум.- Издательство АлтГУ, 2017 elibrary.asu.ru
Э3 Единый образовательный портал АлтГУ. Курс «Цифровая и микропроцессорная техника» portal.edu.asu.ru
Э4 Новиков Ю.В. Скоробогатов П.К. Основы микропроцессорной техники: учебное пособие. – М.: ИНТУИТ; БИНОМ, 2009. www.intuit.ru
Э5 portal.edu.asu.ru
6.3. Перечень программного обеспечения
Open Office – Условия использования по ссылке http://www.openoffice.org/license.html
LibreOffice
Условия использования: https://ru.libreoffice.org/about-us/license/
7-zip
Условия использования: https://www.7-zip.org/license.txt
Acrobat Reader
Условия использования: http://wwwimages.adobe.com/content/dam/Adobe/en/legal/servicetou/Acrobat_com_Additional_TOU-en_US-20140618_1200.pdf
Mozila FireFox
Условия использования: https://www.mozilla.org/en-US/about/legal/eula/
Chrome
Условия использования: http://www.chromium.org/chromium-os/licenses
Microsoft Windows
Microsoft Office 2010 (Office 2010 Professional, № 4065231 от 08.12.2010), (бессрочно);
Microsoft Windows 7 (Windows 7 Professional, № 61834699 от 22.04.2013), (бессрочно);
Chrome (http://www.chromium.org/chromium-os/licenses), (бессрочно); 7-Zip (http://www.7-zip.org/license.txt), (бессрочно);
AcrobatReader (http://wwwimages.adobe.com/content/dam/Adobe/en/legal/servicetou/Acrobat_com_Additional_TOU-en_US-20140618_1200.pdf), (бессрочно);
ASTRA LINUX SPECIAL EDITION (https://astralinux.ru/products/astra-linux-special-edition/), (бессрочно);
LibreOffice (https://ru.libreoffice.org/), (бессрочно);
Веб-браузер Chromium (https://www.chromium.org/Home/), (бессрочно);
Антивирус Касперский (https://www.kaspersky.ru/), (до 23 июня 2024);
Архиватор Ark (https://apps.kde.org/ark/), (бессрочно);
Okular (https://okular.kde.org/ru/download/), (бессрочно);
Редактор изображений Gimp (https://www.gimp.org/), (бессрочно)
6.4. Перечень информационных справочных систем
1 Федеральная служба государственной статистики РФ [Электронный ресурс]. - Электронные данные. - Режим доступа: http://www.gks.ru/.
2 Федеральный портал по научной и инновационной деятельности [Электронный ресурс]. -Электронные данные. - Режим доступа: http://www.sci-innov.ru/.
3 Научная и учебно-методическая литература [Электронный ресурс]. - Электронные данные. - Режим доступа: http://www.intuit.ru.
4 Научный журнал «Вестник Российской академии естественных наук» [Электрон-ный ресурс]. - Электронные данные. - Режим доступа: http://www.ras.ru/publishing/rasherald/rasherald_archive.aspx.
5 Научный журнал «Интеграл» [Электронный ресурс]. - Электронные
данные. – Режим доступа: http://www.portalnano.ru/read/databases/publication/journal_integral.
6 Научный журнал «Инновации» [Электронный ресурс]. - Электронные данные. – Режим доступа: http://ojs.innovjoum.ru/index.php/innov
7 Научный журнал «Информатика и системы управления» [Электронный ресурс]. – Электронные данные. - Режим доступа: http://ics.khstu.ru/
8 Научный журнал «Информационные системы и технологии» [Электронный ре-сурс]. - Электронные данные. - Режим доступа: http://gu-unpk.ru/science/joumal/isit
9 Научный журнал «Информационные технологии» [Электронный ресурс]. - Элек-тронные данные. - Режим доступа: http://novtex.ru/IT/
10 Научный журнал «Нейрокомпьютеры: разработка, применение» [Электронный ре-сурс]. - Электронные данные. – Режим доступа: http://www.radiotec.ru/catalog.php?cat=jr7
11 Научный журнал «Программные продукты и системы» [Электронный ресурс]. - Электронные данные. – Режим доступа: http://www.swsys.ru/
Электронная библиотечная система Алтайского государственного университета (http://elibrary.asu.ru/);

7. Материально-техническое обеспечение дисциплины

Аудитория Назначение Оборудование
001вК склад экспериментальной мастерской - помещение для хранения и профилактического обслуживания учебного оборудования Акустический прибор 01021; виброизмеритель 00032; вольтметр Q1202 Э-500; вольтметр универсальный В7-34А; камера ВФУ -1; компьютер Турбо 86М; масспектрометр МРС -1; осциллограф ЕО -213- 2 ед.; осциллограф С1-91; осциллограф С7-19; программатор С-815; самописец 02060 – 2 ед.; стабилизатор 3218; терц-октавный фильтр 01023; шкаф вытяжной; шумомер 00026; анализатор АС-817; блок 23 Г-51; блок питания "Статрон" – 2 ед.; блок питания Ф 5075; вакуумный агрегат; весы; вольтметр VM -70; вольтметр В7-15; вольтметр В7-16; вольтметр ВУ-15; генератор Г-5-6А; генератор Г4-76А; генератор Г4-79; генератор Г5-48; датчик колебаний КВ -11/01; датчик колебаний КР -45/01; делитель Ф5093; измеритель ИМП -2; измеритель параметров Л2-12; интерферометр ИТ 51-30; источник "Агат" – 3 ед.; источник питания; источник питания 3222; источник питания ЭСВ -4; лабораторная установка для настройки газовых лазеров; лазер ЛГИ -21; М-кальк-р МК-44; М-калькул-р "Электроника"; магазин сопротивления Р4075; магазин сопротивления Р4077; микроскоп МБС -9; модулятор МДЕ; монохроматор СДМС -97; мост переменного тока Р5066; набор цветных стекол; насос вакумный; насос вакуумный ВН-01; осциллограф С1-31; осциллограф С1-67; осциллограф С1-70; осциллограф С1-81; осциллоскоп ЕО -174В – 2 ед.; пентакта L-100; пирометр "Промень"; пистонфон 05001; преобразователь В9-1; прибор УЗДН -2Т; скамья оптическая СО 1м; спектограф ДФС -452; спектограф ИСП -51; стабилизатор 1202; стабилизатор 3217 – 4 ед.; стабилизатор 3218; стабилизатор 3222 – 3 ед.; станок токарный ТВ-4; усилитель мощности ЛВ -103 – 4 ед.; усилитель У5-9; центрифуга ВЛ-15; частотомер Ч3-54А; шкаф металлический; эл.двигатель; электродинамический калибратор 11032
202К лаборатория цифровой техники - учебная аудитория для проведения занятий семинарского типа (лабораторных и(или) практических); проведения групповых и индивидуальных консультаций, текущего контроля и промежуточной аттестации Учебная мебель на 18 посадочных мест; рабочее место преподавателя; доска меловая 1шт.; компьютеры: марка Aqarius; Парус - 12 единиц; вольтметр В7-34А; генератор Г5-56; генератор сигналов Г6-36; коммутатор SWITCH; компьютер Парус 945 MSI; осциллограф АСК- 1052 - 7шт.; осциллограф ЕО- 213 - 4шт.; осциллограф С1-64; осциллограф С1-91; паяльная станция АТР-1121; системный блок Aquarius Cel - 2400 – 10 шт.; стабилизатор 1202; методические указания по выполнению лабораторных работ: работа на учебной микроэвм; методы проектирования на микросхемах средней степени интеграции; последовательностные схемы; комбинационные логические схемы.
Учебная аудитория для проведения занятий лекционного типа, занятий семинарского типа (лабораторных и(или) практических), групповых и индивидуальных консультаций, текущего контроля и промежуточной аттестации, курсового проектирования (выполнения курсовых работ), проведения практик Стандартное оборудование (учебная мебель для обучающихся, рабочее место преподавателя, доска)
Помещение для самостоятельной работы помещение для самостоятельной работы обучающихся Компьютеры, ноутбуки с подключением к информационно-телекоммуникационной сети «Интернет», доступом в электронную информационно-образовательную среду АлтГУ

8. Методические указания для обучающихся по освоению дисциплины

В приложении.